คำถามในหัวข้อ 'vhdl'

การจัดทำดัชนีผลลัพธ์ทางคณิตศาสตร์เล็กน้อยโดยตรง
สำหรับปัญหานี้ ให้พิจารณาว่าฉันมีสัญญาณต่อไปนี้และกำลังใช้แพ็คเกจ The Synopsis std_logic_unsigned และ std_logic_arith signal o : std_logic; signal i : std_logic_vector(parameter downto 0); constant c : integer := 5;...
389 มุมมอง
schedule 16.01.2024

พยายามทำความเข้าใจข้อผิดพลาดในการจำลองด้วย Xilinx
ฉันได้รับข้อผิดพลาดบางอย่างที่ไม่สมเหตุสมผลและหวังว่าจะได้รับความช่วยเหลือ ERROR: [VRFC 10-469] cannot update 'in' object shift_reg [C:/Users/Darren/Desktop/project_6_1_3/project_6_1_3.srcs/sources_1/new/1Bit_delay_register.vhd:25] ERROR: [VRFC...
3032 มุมมอง
schedule 03.12.2023

VHDL: ตารางค้นหาโคไซน์
ฉันกำลังทำงานกับ VGA บน Basys3 FPGA ของฉัน และตอนนี้ฉันต้องการวาดโซนเพลต ซึ่งสมการคือ (1 + cos(k*r^2)) / 2 โดยที่ r คือระยะห่างจากศูนย์กลางเพลต และ k=2*pi/lambda คือเลขคลื่นซึ่งกำหนดขนาดของเพลต ฉันเดาว่าแนวทางปฏิบัติที่ดีที่สุดคือการใช้โคไซน์ LUT...
1496 มุมมอง
schedule 24.11.2023

จะแปลงจำนวนเต็มใหญ่เป็นจำนวนเต็มเล็กใน vhdl ได้อย่างไร?
ฉันมีรหัส VHDL ที่ฉันพยายามคูณค่าพิกเซล ฉันมีเอนทิตีดังต่อไปนี้: entity xGradient is port( clk : in std_logic; x11, x12, x13, x21, x22, x23, x31, x32, x33 : in integer range 0 to 255; gradientInX : out integer range 0 to 255 ); end...
1008 มุมมอง
schedule 08.12.2023

ข้อผิดพลาด (10327): ข้อผิดพลาด VHDL: ไม่สามารถระบุคำจำกัดความของตัวดำเนินการได้ = พบคำจำกัดความที่เป็นไปได้ 0 รายการ
ฉันมีข้อผิดพลาดมากมายแม้จะพยายามพิมพ์โปรแกรมด้วยวิธีต่างๆ แต่ส่วนใหญ่มักใช้การคิดเชิงตรรกะ ประเด็นคือฉันไม่เคยลองรวมสิ่งต่าง ๆ เข้าด้วยกันมาก่อน จึงมีปัญหาคือไม่สามารถคอมไพล์ได้ มีข้อกำหนดค่อนข้างมากและฉันสามารถประมวลผลได้อย่างสมเหตุสมผล...
450 มุมมอง
schedule 27.10.2023

ไม่มีรายการที่เป็นไปได้ในโปรแกรมย่อย shift_left พิมพ์ข้อผิดพลาดใกล้
ฉันกำลังพยายามใช้ฟังก์ชัน shift_left() ในโครงการของฉัน แต่ฉันได้รับข้อผิดพลาด 2 ข้อที่อธิบายไม่ได้: ข้อผิดพลาด: พิมพ์ข้อผิดพลาดใกล้กับ 'shift left'; ประเภทที่คาดหวัง 'std_logic_vector' แต่ temp เป็น std_logic_vector! ข้อผิดพลาด:...
69 มุมมอง
schedule 07.11.2023