คำถามในหัวข้อ 'iverilog'

ไม่ทราบผลลัพธ์ของ for แทนที่จะเป็น 1 ใน Verilog
ฉันใช้ Icarus iVerilog เพื่อสังเคราะห์และทดสอบโค้ดของฉัน แต่ฉันได้รับค่าที่ไม่รู้จักเมื่อค่า 1 ควรปรากฏขึ้นตามตรรกะ นี่คือตัวอย่างสิ่งที่ฉันพยายามทำ reg [8:0] a = 000110100; wire [8:0] b = 0; generate genvar i; for (i = 8; i > -1; i =...
211 มุมมอง
schedule 14.12.2023