Pertanyaan tentang topik tersebut 'vhdl'

Langsung mengindeks sedikit hasil aritmatika
Untuk masalah ini, anggaplah saya memiliki sinyal berikut dan saya menggunakan paket Sinopsis std_logic_unsigned dan std_logic_arith . signal o : std_logic; signal i : std_logic_vector(parameter downto 0); constant c : integer := 5; Saya...
389 dilihat
schedule 16.01.2024

Mencoba memahami kesalahan simulasi dengan Xilinx
Saya mendapatkan beberapa kesalahan yang tidak masuk akal dan saya berharap bisa mendapatkan bantuan. ERROR: [VRFC 10-469] cannot update 'in' object shift_reg...
3032 dilihat
schedule 03.12.2023

VHDL: Tabel Pencarian Cosinus
Saya bekerja dengan VGA di Basys3 FPGA saya, dan saat ini saya ingin menggambar pelat zona, yang persamaannya adalah (1 + cos(k*r^2)) / 2, di mana r adalah jarak dari pusat pelat, dan k=2*pi/lambda adalah bilangan gelombang, yang menentukan skala...
1496 dilihat
schedule 24.11.2023

Bagaimana cara mengubah bilangan bulat besar menjadi bilangan bulat kecil di vhdl?
Saya memiliki kode VHDL tempat saya mencoba mengalikan nilai piksel. Saya memiliki entitas berikut: entity xGradient is port( clk : in std_logic; x11, x12, x13, x21, x22, x23, x31, x32, x33 : in integer range 0 to 255; gradientInX :...
1008 dilihat
schedule 08.12.2023

Kesalahan (10327): Kesalahan VHDL: tidak dapat menentukan definisi operator = ditemukan 0 kemungkinan definisi
Saya mengalami banyak kesalahan meskipun mencoba berbagai cara mengetik program saya, tetapi sebagian besar waktu menggunakan pemikiran logis. Masalahnya adalah, saya belum pernah mencoba menggabungkan hal-hal yang berbeda sebelumnya, sehingga...
450 dilihat
schedule 27.10.2023

Tidak ada entri yang layak ke subprogram shift_left, ketik kesalahan dekat
Saya mencoba menggunakan fungsi shift_left() di proyek saya, tetapi saya mendapatkan 2 kesalahan yang tidak dapat saya jelaskan: Kesalahan: kesalahan ketik di dekat 'shift kiri'; tipe yang diharapkan 'std_logic_vector' tapi temp ADALAH...
69 dilihat
schedule 07.11.2023