Pertanyaan tentang topik tersebut 'test-bench'

Output perkalian di Verilog tidak ditampilkan dalam perilaku simulasi
Saya telah menulis dua cuplikan Verilog berbeda untuk perkalian kombinasional dan berurutan, yang saya posting di bawah. Ketika saya mensimulasikan salah satu perkalian, pengali, dilambangkan mult_A dan perkalian, dilambangkan mult_B menunjukkan...
83 dilihat
schedule 04.12.2023