Вопросы по теме 'vhdl'

Прямая индексация арифметического результата
Для этой проблемы учтите, что у меня есть следующие сигналы и я использую пакеты Synopsis std_logic_unsigned и std_logic_arith . signal o : std_logic; signal i : std_logic_vector(parameter downto 0); constant c : integer := 5; Я хочу...
389 просмотров
schedule 16.01.2024

Попытка понять ошибки моделирования с помощью Xilinx
Я получаю некоторые ошибки, которые я не могу понять, и надеялся, что смогу получить помощь. ERROR: [VRFC 10-469] cannot update 'in' object shift_reg...
3032 просмотров
schedule 03.12.2023

VHDL: справочная таблица косинуса
Я работаю с VGA на своей FPGA Basys3, и в настоящее время я хочу нарисовать зонную пластину, для которой уравнение (1 + cos (k * r ^ 2)) / 2, где r - расстояние от центра пластины, k=2*pi/lambda — волновое число, определяющее масштаб пластины. Я...
1496 просмотров
schedule 24.11.2023

Как преобразовать большие целые числа в меньшие целые числа в vhdl?
У меня есть код VHDL, в котором я пытаюсь умножить значения пикселей. У меня есть следующая сущность: entity xGradient is port( clk : in std_logic; x11, x12, x13, x21, x22, x23, x31, x32, x33 : in integer range 0 to 255; gradientInX...
1008 просмотров
schedule 08.12.2023

Ошибка (10327): ошибка VHDL: невозможно определить определение оператора = найдено 0 возможных определений
У меня много ошибок, несмотря на то, что я пробовал разные способы ввода своей программы, но в основном использовал логическое мышление. Дело в том, что я никогда раньше не пытался объединять разные вещи вместе, поэтому у меня возникла проблема с...
450 просмотров
schedule 27.10.2023

Недопустимый вход в подпрограмму shift_left, ошибка типа рядом
Я пытаюсь использовать функцию shift_left() в своем проекте, но получаю 2 ошибки, которые не могу объяснить: Ошибка: введите ошибку возле «сдвиг влево»; ожидаемый тип 'std_logic_vector' но temp ЯВЛЯЕТСЯ std_logic_vector! Ошибка: не...
69 просмотров
schedule 07.11.2023