Вопросы по теме 'verilog'

Как импортировать макросы SystemVerilog?
Я разрабатываю монитор SystemVerilog , который расширяет возможности ovm_monitor , и мне хотелось бы знать, как импортировать макросы ovm, которые я использую. Я использую: `ovm_component_utils_begin `ovm_field_string `ovm_component_utils_end...
6864 просмотров
schedule 19.01.2024

Как подключить мой ClockDivider к этой программе verilog с Quartus II
Код: TestBench.v: // ============================================================ // // Traffic light tester module. // // We clock the device as usual, supply reset, and eventually "push // the walk button" to activate the traffic light. // //...
426 просмотров
schedule 13.01.2024

Вывод for неизвестен вместо 1 в Verilog
Я использую Icarus iVerilog для синтеза и тестирования своего кода, но я получаю неизвестные значения, когда логически должны появляться единицы. Вот пример того, что я пытаюсь сделать. reg [8:0] a = 000110100; wire [8:0] b = 0; generate...
211 просмотров
schedule 14.12.2023

Как создать битовые диапазоны с терминами, определенными как логика
Я понимаю, что следующий код не скомпилируется, но есть ли что-то подобное, которое компилируется? logic [7:0] complete_set, partial_set; logic [2:0] msb_bit, lsb_bit; always_comb complete_set = <driven by a logic equation>;...
172 просмотров
schedule 11.12.2023

Verilog: изменение нескольких состояний в одном операторе case
В основном я пытаюсь отобразить сумму или произведение двух чисел (введенных с помощью переключателей на FPGA) на 7-сегментном дисплее. Я знаю, что мои биты сложения и умножения работают нормально, так как я тестировал их по отдельности. У меня...
1166 просмотров

Вывод умножения в Verilog не отображается при моделировании поведения
Я написал два разных фрагмента Verilog для комбинационного и последовательного умножения, которые публикую ниже. Когда я имитирую любое из умножений, множитель, обозначенный mult_A , и множимое, обозначенное mult_B , показывают свои значения...
83 просмотров
schedule 04.12.2023

Как мне удалить ошибки в следующем коде:
Каждый раз, когда я пытаюсь скомпилировать, он показывает следующую ошибку в строках 7 и 13 моего кода. т.е. рядом с функцией [2: 0] и рядом с [31: 0] Data_in. Компилятор выдает следующую ошибку: рядом с [: синтаксическая ошибка, непредвиденная...
34 просмотров
schedule 28.11.2023