Вопросы по теме 'iverilog'

Вывод for неизвестен вместо 1 в Verilog
Я использую Icarus iVerilog для синтеза и тестирования своего кода, но я получаю неизвестные значения, когда логически должны появляться единицы. Вот пример того, что я пытаюсь сделать. reg [8:0] a = 000110100; wire [8:0] b = 0; generate...
211 просмотров
schedule 14.12.2023